在Ubuntu 18.04下安装INCISIVE151

前言:这一篇是讲如何在Ubuntu下安装INCISIVE151,安装过程是参考这个链接进行安装的:https://blog.csdn.net/yy345730585/article/details/90407408

INSIVE151安装包、patch和license下载链接: https://pan.baidu.com/s/1AFChiaz5ycTC3Io9oKLNoQ  
密码: qa1i

安装流程:
一.先合并安装包:cat INCISIVE15.10.010_Hotfix.7z.00*>INCISIVE15.10.010_Hotfix.7z后再解压。
二.启动IScape图形安装界面,要是有安装过IScape的直接启动使用,没有安装IScape需下载安装。

IScape下载链接: https://pan.baidu.com/s/1FvpOto5fAIRjQARcbMbjZQ  

密码: k1cb

解压InstallScape后,依次输入以下指令:
1.cd /opt/cadence/IScape ( 进入解压后软件包所放的目录)
2.sudo chmod -R 777 /opt/cadence/IScape (更改cadence文件夹的写入权限)
3.sudo zcat IScape04.23-s010lnx86.t.Z | sudo tar -xvf - (解压IScape04.23)
4.cd /opt/cadence/IScape/iscape/bin (进入iscape下bin文件夹)
5.sudo ./iscape.sh (启动IScape安装界面,如下图)

选择菜单栏Preferences - Istallscape

设置 Directories 如下图:
Cache Directory选择的是缓存目录
Default Install Directory选择的是默认安装目录

在这里插入图片描述

点OK下一步安装INSIVE151,下载的安装包解压后放在目录里选择

点start开始安装,在安装过程中弹出的对话框都写yes回车,碰到license不通过的写no回车。

三.安装完后破解

cd  INCISIVE151/patch/                           (下载的pacth路径)

tar -xvf patch.tar                                     (解压)

sudo cp -r patch /opt/cadence         (复制patch到安装路径下)

cd INCISIVE151/license                        (进入下载的license路径)

tar -xvf cadence.dat.tar.gz                   (解压)

sudo cp cadence.dat /opt/cadence/license/(复制到安装文件夹license下)

cd /opt/cadence/                                     (进入安装文件夹)

chmod -R 777 INCISIVE151/                  (更改权限)

cd patch

sudo ./patch62.sh /opt/cadence/INCISIVE151/(破解)

四.配置环境变量

1.编辑.bashrc

vim ~/.bashrc

2.在后面添加环境变量

#####################
# cadence
#####################
export INCISIVDIR=/opt/cadence/INCISIVE151
export VRST_HOME=/opt/cadence/INCISIVE151
export LM_LICENSE_FILE=/opt/cadence/license/cadence.dat
export LD_LIBRARY_PATH=$LD_LIBRARY_PATH:/opt/cadence/INCISIVE151/tools.lnx86/lib
alias irun="/opt/cadence/INCISIVE151/tools/bin/irun"
alias simv="/opt/cadence/INCISIVE151/tools/bin/simvision"
alias simvision="/opt/cadence/INCISIVE151/tools/bin/simvision -64 &"
alias ncvlog="/opt/cadence/INCISIVE151/tools/bin/ncvlog"
alias ncsim="/opt/cadence/INCISIVE151/tools/bin/ncvsim"
alias ncelab="/opt/cadence/INCISIVE151/tools/bin/ncelab"
alias ncvhdl_p="/opt/cadence/INCISIVE151/tools/bin/ncvhdl_p"
export SPECMAN_HOME=$INCISIVDIR/components/sn
export SPECMAN_DIR=$SPECMAN_HOME/
export PATH="$PATH:$INCISIVDIR/bin"
export PATH="$PATH:$INCISIVDIR/tools.lnx86/bin"
export PATH="$PATH:$INCISIVDIR/tools.lnx86/dfII/bin"

3.保存后退出,输入命令使其生效

source ~/.bashrc

4.试运行其中工具

在终端输入simv启动simvsion,出现下图界面

到这INCISIVE151就安装好了。

 

 


版权声明:本文为YYP_8020原创文章,遵循CC 4.0 BY-SA版权协议,转载请附上原文出处链接和本声明。