FPGA开发中常见报错或警告汇总

持续更新中……

A.警告

1.Warning (12019): Can't analyze file -- file led_test.v is missing

其实就是找不到这个文件,我们可以在quartus的配置文件.qsf文件中进行修改。如下所示。

首先打开你的工程的.qsf文件,然后做如下修改,删掉也行。

#set_global_assignment -name VERILOG_FILE led_test.v

2.“Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance.

做linux开发的同学都知道,我们可以make -j xx使用CPU多核心进行编译,quartus也是一样的,我们修改一些配置就可以去掉这个警告。

quartus中打开tools,选择options,然后选择prosessing。

 修改processing栏下的Maximum processors allowed的配置,就是选择编译的时候使用的线程数,我的电脑的CPU是i7 11700,最多有16个线程,我这里分配4个。

然后还得做个修改,在quartus配置文件.qsf中添加最大的编译线程数。如下所示。

set_global_assignment -name FAMILY "Cyclone IV E"
set_global_assignment -name NUM_PARALLEL_PROCESSORS 4
set_global_assignment -name DEVICE EP4CE15F23C8

B.报错

1.Error (12007): Top-level design entity "counter_ip" is undefined

产生该问题的原因,工程名称和.v文件的名字不一样。


版权声明:本文为qq_34539334原创文章,遵循CC 4.0 BY-SA版权协议,转载请附上原文出处链接和本声明。